Jump to content
IGNORED

Master Clock for your EtherREGEN


Recommended Posts

If someone is interested in a cheap alternative to the Chinese clock MartinT has started this thread with, here is what I use with great satisfaction:

Constant Temperatur Crystal OCXO 10Mhz 0.01PPM 2 Channel Output.

https://www.ebay.de/itm/184296816517

For me it has the advantage to only need 5v. So if there isn´t a LPSU 5v at hand, this clock can be powered with an USB output. At the moment I am using a power bank for that purpose after those flimsy cords (to be seen on 1 picture) have been replaced by a 2.5mm connector. Moreover there is no need to worry about BNC-cables. My external clock is attached to the ER with rigid BNC adaptors that I bought here:

https://www.amazon.de/YILIANDUO-Connector-Handfunkelektronik-Kamerascanner-Funkgeräte/dp/B07K1XHQQ9/ref=pb_allspark_dp_session_sims_pao_desktop_4/257-6201746-3777357?pd_rd_w=4KKjI&pf_rd_p=d0ecb613-4040-45f8-b335-5cd8ff54c281&pf_rd_r=67FX574W6WVKDWQMF3ZC&pd_rd_r=4f9712a8-6ae9-4e74-a428-29037f62fe41&pd_rd_wg=We0wC&pd_rd_i=B07K1XHQQ9&psc=1

Regards,

Uwe

 

Link to comment
32 minutes ago, R1200CL said:

@alsterfan

Did you open up the clock and verify what brand and model is inside ?

 

No I didn´t the modification myself. However since I have ordered the same clock again which then will have to be modified too I might ask my friend to take a picture to show what is inside the tiny case.

 

Link to comment
5 hours ago, richard_crl032 said:

 

Hi Alster,

 

My understanding is that filter is only for sine wave clock and of no impact if square wave ... hence my query.

 

Cheers.

 

Richard

Yes I know, Richard, but although the technical data provided by the seller don´t have any information as to sine or square wave clock I decided to order the filter, which hopefully will be delivered within the next few days. I will share my experience with it thereafter.

Best,

Uwe

Link to comment

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now



×
×
  • Create New...